Acasă Gândire înainte Promisiunile și provocările euv-ului la nivel global

Promisiunile și provocările euv-ului la nivel global

Cuprins:

Video: Perspectives: Jed Rankin, GLOBALFOUNDRIES - Autumn Edition 2017 (Octombrie 2024)

Video: Perspectives: Jed Rankin, GLOBALFOUNDRIES - Autumn Edition 2017 (Octombrie 2024)
Anonim

Unul dintre motivele pentru care am fost atât de intrigat să vizitez GlobalFoundries la începutul acestei luni a fost oportunitatea de a vedea un aparat de litografiere EUV în loc și de a afla despre modul în care firma intenționează să-l folosească.

Nu cu mult timp în urmă, am avut ocazia să vizitez o fabrică din Connecticut, unde ASML construiește multe dintre componente pentru o astfel de mașină EUV. Aceste instrumente enorme folosesc lumina ultravioletă extremă (EUV) strălucea printr-o mască pentru a delimita liniile pentru caracteristici foarte mici ale cipurilor și sunt unele dintre cele mai complexe mașini din lume. Acestea sunt concepute pentru a ocupa locul mașinilor de litografie de imersie acum standard, care utilizează lumina cu o lungime de undă de 193 nm în unele straturi ale procesului de confecționare a cipurilor.

Pentru recapitulare, o mașină EUV este incredibil de complicată. Așa cum a explicat George Gomba, vicepreședinte de cercetare tehnologică pentru GlobalFoundries, procesul începe cu un laser CO2 de 27 de kilowati care este tras prin un sistem de transport cu fascicul și care se concentrează pe picături mici de staniu (în jur de 20 microni în diametru) produse de un generator de picături într-un vas cu plasmă. Primul impuls aplatizează picăturile și al doilea îl vaporizează, creând plasmă produsă cu laser (LPP). Fotonii EUV emiți din plasmă sunt colectați de o oglindă specială care reflectă lumina de undă de 13, 5 nm și care radiația este transmisă într-un punct de focalizare intermediară unde intră în scaner și este proiectată printr-o mască pe placa de siliciu. Gomba, care lucrează în instalația Albany Nanotech, a declarat că lucrează cu sisteme de preproducție EUV din 2013, iar acum se așteaptă ca EUV să fie în plină producție la GlobalFoundries până în a doua jumătate a anului 2019.

Aceste instrumente sunt atât de complexe încât necesită luni de muncă doar pentru a le pregăti să înceapă producția. La Fab 8 a companiei din Malta, New York, am văzut primele două instrumente EUV instalate; unul este aproape complet, iar celălalt este în proces de producție și încă mai există loc pentru încă două.

Obținerea instrumentelor EUV în clădire în sine a fost o operațiune complexă. Fabrica principală a fost închisă pentru prima dată; apoi, a fost instalată o macara în tavan și s-a tăiat o gaură în lateralul clădirii pentru a muta noul sistem masiv în interior. Apoi, desigur, trebuia conectat la celelalte unelte din fabrică. Acest lucru a implicat atât în ​​sub-fab, care a trebuit să fie configurat pentru instrumentul sursă care creează laserul utilizat în proces, cât și în camera curată. Totul trebuia făcut, păstrând restul fabulei să funcționeze cu viteză maximă.

Tom Caulfield, SVP și directorul general al Fab 8, au comparat acest lucru cu „efectuarea unei operații cardiace în timpul alergării unui maraton”.

Statutul EUV și ceea ce încă trebuie rezolvat

Gary Patton, CTO & SVP de la R&D la nivel mondial pentru GlobalFoundries, a declarat că 7nm va fi în producția de risc la Fab 8 anul acesta, iar producția completă anul viitor, folosind litografie cu imersiune și modelare quad, dar nu EUV. Multi-modelarea durează mai mult, deoarece implică mai mulți pași și pot apărea probleme datorită alinierii foarte precise necesare la fiecare etapă, dar aceste instrumente de litografie sunt comune, bine înțelese și gata astăzi. Ulterior, planul este de a oferi o versiune a procesului 7nm folosind noile instrumente EUV.

EUV nu este „gata astăzi”, a spus Patton, citând probleme legate de puterea sursă, rezistența materialelor și măștile, în special cu dezvoltarea peliculei corespunzătoare (o peliculă subțire care trece peste mască sau reticul.)

În prezent, mașinile EUV nu sunt la fel de rapide, un inginer explicând că pot produce aproximativ 125 de napole pe oră, comparativ cu aproximativ 275 de napolitane pe oră pentru litografie cu imersiune. Ele pot economisi timp, deoarece, dacă procesul reduce numărul de treceri pentru modelarea multiplă, nu numai că economisește pași în litografie, ci și în gravare și pregătire. Astfel, EUV ar trebui să coste efectiv mai puțin să funcționeze atunci când este gata, a spus Caulfield.

Gomba a menționat că ideea nu este doar de a reduce 3 sau 4 straturi de litografie optică, ci și de a reduce multe alte etape, deoarece între fiecare etapă de litografie există și gravură și alte prelucrări pe placă. Scopul, a spus Gomba, este de a reduce timpul ciclului cu până la 30 de zile.

Punctul de încrucișare este probabil modelarea în patru, dar depinde mult de randament (care ar trebui îmbunătățit, deoarece etapele de litografie EUV ar trebui să aibă o variabilitate mai mică decât etapele de litografie cu imersiune multiplă) și de îmbunătățirea timpului ciclului. EUV ar trebui, de asemenea, să permită proiectanților de cip să funcționeze în condiții mult mai puțin restrictive.

Dar el a remarcat că mai rămân câteva probleme care trebuie rezolvate, în special în ceea ce privește pelicula. Un alt inginer a explicat că radiațiile de 13, 5 nm utilizate de EUV sunt absorbite de aproape toate, astfel încât interiorul mașinii trebuie să fie vid. Cu EUV, o mare parte a puterii nu trece prin reticul (mască), ci în schimb o încălzește. Peliculă ajută la protejarea măștii, dar trebuie totuși lucrat pentru a îmbunătăți cantitatea de lumină care trece prin peliculă (transmisie), precum și longevitatea peliculei. Aceasta, la rândul său, va avea un impact asupra randamentului, precum și a longevității măștilor și a timpului de funcționare al mașinii.

Ca urmare, a spus Patton, compania va oferi inițial o reducere de 7 milimetri cu EUV, care va fi utilizată mai ales pentru contacte și vias. Acest lucru poate oferi o creștere a densității de 10 până la 15 la sută fără o investiție mare în proiectare. Când problemele vor fi rezolvate, a spus Patton, EUV poate și va fi utilizat în mai multe straturi. (Joel Hruska de la ExtremeTech , care a fost și el în turneu, are mai multe detalii aici.)

Patton a menționat că ASML ar trebui să obțină „un credit extraordinar” pentru împingerea EUV în măsura în care a avut-o și a spus că este o „incredibilă tehnologie a ingineriei”. Întrebat dacă GlobalFoundries este într-adevăr angajat să facă EUV, Caulfield a răspuns că firma a făcut o investiție de 600 de milioane de dolari, ceea ce înseamnă „trebuie să o facă”.

FDX și foaia de parcurs pentru viitoarele tâmplări

Într-o discuție vastă despre direcția de confecționare a cipurilor, Patton - care a petrecut o lungă carieră lucrând la tehnologia cip pentru IBM - a explicat modul în care conceptul se schimbă pe măsură ce ajungem la sfârșitul Legii lui Moore. El a menționat că în primii ani de fabricație a cipurilor, totul a fost vorba despre scalarea plană a siliconului CMOS. Apoi, în perioada 2000-2010, accentul a apelat la materiale noi; acum, o mare parte a accentului este pus pe tranzistoarele 3D (FinFET-urile utilizate în majoritatea proceselor de vârf astăzi) și pe stivuirea 3D.

Până în 2020, a spus el, vom atinge limitele dimensiunilor atomice, astfel că va trebui să ne concentrăm pe alte modalități de inovare, inclusiv noi modalități de proiectare a tranzistoarelor (cum ar fi nanoamele care înlocuiesc FinFET-urile), noi tipuri de substraturi (cum ar fi Completul Tehnologia epuizată de siliciu pe izolator GlobalFoundries se dezvoltă); sau noi niveluri de integrare la nivel de sistem (cum ar fi ambalaj avansat, fotonică de siliciu și memorie încorporată).

GlobalFoundries are două foi de parcurs la care lucrează, a spus Patton. Primul se bazează pe tehnologia actuală FinFET și este proiectat pentru dispozitive performante. La GlobalFoundries, acest lucru înseamnă trecerea de la procesul actual de 14 nm la o revizuire a procesului pe care se numește 12nm, iar apoi în acest an la ceea ce numește 7 nm. Patton a spus că aceasta ar trebui să fie cea mai potrivită pentru procesoarele de aplicații mobile și procesoarele de înaltă performanță și GPUS, GlobalFoundries promițând până la o îmbunătățire de 40% a performanței dispozitivului și o reducere de 60% a puterii totale în comparație cu procesul de 14 nm. La fel de convingător, acesta ar trebui să reducă costurile de moarte cu aproximativ 30 la sută până la 45% față de generația anterioară.

În această porțiune a foii de parcurs, GlobalFoundries este pe un curs similar în comparație cu foile de parcurs ale fabricilor concurente, cum ar fi TSMC sau Samsung.

Însă pentru alte aplicații, compania se concentrează pe ceea ce numește FDX, brandul său pentru tehnologia silicon-pe-izolator complet epuizată. Aceasta este o tehnologie plană, ceea ce înseamnă că nu folosește tranzistoare 3D, iar Patton a spus că oferă o soluție mai rentabilă pentru procesoarele mobile de nivel inferior și mediu, precum și pentru procesoarele pentru Internet of Things și pentru multe automobile aplicații. În timp ce o parte din cercetările se întâmplă la Malta, procesul FDX este organizat în cea mai mare parte la Dresda, Germania. Lucrările curente la acest proces se referă la ceea ce GlobalFoundries numește nodul său FDX de 22 nm; acest lucru este prevăzut pentru a trece la un proces de 12nm anul viitor.

Caulfield a menționat că „un contract de contracție nu este suficient” și că pentru a trece la următorul nod, GlobalFoundries trebuie să ofere și mai multe performanțe și să aducă valoare reală clienților. El a menționat că firma a omis 20nm și ceea ce alții numesc 10nm pentru a se concentra pe 7 nm și a spus că acest nod oferă o reducere a costurilor directe de 30 până la 45%, comparativ cu 14 nm, compensat oarecum de nevoia de mai multe măști pentru pașii suplimentari solicitați de multi- patterning.

Caulfield a menționat că mai mult de jumătate din veniturile firmei rămân pe nodurile mai vechi ale procesului, cum ar fi nodurile de 28 și 40 de milioane. Uzina din Singapore a firmei este concentrată pe procese de 40 de milimetri și mai vechi, iar Dresda fabrică pe 22nm și mai vechi. Între timp, totul la Malta este axat pe procese de 14 nm și mai noi.

Pe 7nm, a spus Caulfield, compania vrea să fie un „adept rapid”, în timp ce pe FDX, ea vrea să fie un factor „perturbator” pe piață.

Patton a menționat că GlobalFoundries a arătat un cip de test de 7 nm în 2015, pe care l-a dezvoltat împreună cu partenerii IBM și Complexul Albano NanoTech. La 5nm, compania a vorbit despre nanosheeturi sau tranzistoare de tip gate-all-around și s-a concentrat pe comunicarea intra-modul folosind ambalaje cu cip 2.5D și 3D pe interpozitive de silicon pentru a conecta diferite cuburi de memorie și hibrid. Cu partenerii săi, a demonstrat un cip de test de 5nm anul trecut.

Ani de zile, am fost impresionat de cât de mult a reușit să se îmbunătățească industria de producere a hârtiei. Este greu să te gândești la o altă industrie care s-a mișcat până acum și atât de repede - iar munca realizată de producători de instrumente precum ASML și fabs precum GlobalFoundries este pur și simplu incredibilă. Provocările cu care se confruntă în realizarea jetoanelor și mai rapide și a modelelor mai dense sunt din ce în ce mai dificile, însă vizita mea mi-a amintit atât de complexitatea proceselor de ultimă oră, cât și de progresele pe care le continuăm să le vedem.

Ce probabilitate ai să recomande PCMag.com?
Promisiunile și provocările euv-ului la nivel global